• BS IEC 61691-1-1:2011

    Superseded A superseded Standard is one, which is fully replaced by another Standard, which is a new edition of the same Standard.

    Behavioural languages VHDL Language reference manual

    Available format(s):  Hardcopy, PDF

    Superseded date:  08-11-2023

    Language(s):  English

    Published date:  31-07-2011

    Publisher:  British Standards Institution

    Add To Cart

    Table of Contents - (Show below) - (Hide below)

    1 Overview of this standard
    2 Normative references
    3 Design entities and configurations
    4 Subprograms and packages
    5 Types
    6 Declarations
    7 Specifications
    8 Names
    9 Expressions
    10 Sequential statements
    11 Concurrent statements
    12 Scope and visibility
    13 Design units and their analysis
    14 Elaboration and execution
    15 Lexical elements
    16 Predefined language environment
    17 VHDL Procedural Interface overview
    18 VHPI access functions
    19 VHPI information model
    20 VHPI tool execution
    21 VHPI callbacks
    22 VHPI value access and update
    23 VHPI function reference
    24 Standard tool directives
    Annex A (informative) - Description of accompanying files
    Annex B (normative) - VHPI header file
    Annex C (informative) - Syntax summary
    Annex D (informative) - Potentially nonportable constructs
    Annex E (informative) - Changes from IEEE Std 1076-2002
    Annex F (informative) - Features under consideration for
            removal
    Annex G (informative) - Guide to use of standard packages
    Annex H (informative) - Guide to use of protect directives
    Annex I (informative) - Glossary
    Annex J (informative) - Bibliography
    Annex K (informative) - IEEE List of participants
    Index

    Abstract - (Show below) - (Hide below)

    Defines and increases the VHDL language reference manual (LRM) by including a standard C language interface specification; specifications from previously separate, but related, standards IEEE Std 1164[TM]-1993 [B16], IEEE Std 1076.2[TM]-1996 [B11], and IEEE Std 1076.3[TM]-1997 [B12]; and general language enhancements in the areas of design and verification of electronic systems.

    Scope - (Show below) - (Hide below)

    This standard revises and enhances the VHDL language reference manual (LRM) by including a standard C language interface specification; specifications from previously separate, but related, standards IEEE Std 1164TM-1993 [B16],1 IEEE Std 1076.2TM-1996 [B11], and IEEE Std 1076.3TM-1997 [B12]; and general language enhancements in the areas of design and verification of electronic systems.

    General Product Information - (Show below) - (Hide below)

    Committee EPL/501
    Document Type Standard
    Publisher British Standards Institution
    Status Superseded
    Superseded By
    Supersedes

    Standards Referencing This Book - (Show below) - (Hide below)

    IEEE 1164-1993 IEEE Standard Multivalue Logic System for VHDL Model Interoperability (Std_logic_1164)
    ISO/IEC 8859-1:1998 Information technology 8-bit single-byte coded graphic character sets Part 1: Latin alphabet No. 1
    IEEE 1076.6-2004 IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
    IEEE 1076.3-1997 IEEE Standard VHDL Synthesis Packages
    ANSI X9.52 : 1998 TRIPLE DATA ENCRYPTION ALGORITHM MODES OF OPERATION
    FIPS PUB 81 : 0 DES MODES OF OPERATION
    FIPS PUB 197 : 2001 ADVANCED ENCRYPTION STANDARD (AES)
    ISO/IEC 19501:2005 Information technology — Open Distributed Processing — Unified Modeling Language (UML) Version 1.4.2
    FIPS PUB 46 : 0002 DATA ENCRYPTION STANDARD (DES)
    IEEE 854-1987 IEEE Standard for Radix-Independent Floating-Point Arithmetic
    IEEE 1076.1-2007 IEEE Standard VHDL Analog and Mixed-Signal Extensions
    ISO/IEC 9899:2011 Information technology Programming languages C
    ISO/IEC 10118-3:2004 Information technology Security techniques Hash-functions Part 3: Dedicated hash-functions
    IEEE 1076.4-2000 IEEE Standard VITAL ASIC (Application Specific Integrated Circuit) Modeling Specification
    IEEE 1076.2-1996 IEEE Standard VHDL Mathematical Packages
    IEEE 754-2008 REDLINE IEEE Standard for Floating-Point Arithmetic
    IEC 62531:2012 Property Specification Language (PSL)
    IEEE 1149.1-2013 REDLINE IEEE Standard for Test Access Port and Boundary-Scan Architecture
    FIPS PUB 180 : 2002 SECURE HASH STANDARD
    IEEE/Open Group 1003.1, 2013 Edition IEEE Standard for Information Technology—Portable Operating System Interface (POSIX(TM)) Base Specifications, Issue 7
    • Access your standards online with a subscription

      Features

      • Simple online access to standards, technical information and regulations
      • Critical updates of standards and customisable alerts and notifications
      • Multi - user online standards collection: secure, flexibile and cost effective