• IEC 62531:2012

    Current The latest, up-to-date edition.

    Property Specification Language (PSL)

    Available format(s):  Hardcopy, PDF, PDF 3 Users, PDF 5 Users, PDF 9 Users

    Language(s):  English

    Published date:  21-06-2012

    Publisher:  International Electrotechnical Committee

    Add To Cart

    Table of Contents - (Show below) - (Hide below)

    1. Overview
    2. Normative references
    3. Definitions, acronyms, and abbreviations
    4. Organization
    5. Boolean layer
    6. Temporal layer
    7. Verification layer
    8. Modeling layer
    9. Scope and visibility rules
    Annex A (normative) - Syntax rule summary
    Annex B (normative) - Formal Syntax and Semantics of IEEE
            Std 1850 Property Specification Language (PSL)
    Annex C (informative) - Bibliography
    Annex D (informative) - List of IEEE Participants

    Abstract - (Show below) - (Hide below)

    IEC 62531:2012(E) defines the property specification language (PSL), which formally describes electronic system behavior. This standard specifies the syntax and semantics for PSL and also clarifies how PSL interfaces with various standard electronic system design languages. This second edition cancels and replaces the first edition, published in 2007, and constitutes a technical revision.

    General Product Information - (Show below) - (Hide below)

    Committee TC 91
    Development Note Also numbered as IEEE 1850. (06/2012) Stability Date: 2020. (12/2017)
    Document Type Standard
    Publisher International Electrotechnical Committee
    Status Current
    Supersedes

    Standards Referenced By This Book - (Show below) - (Hide below)

    BS IEC 61691-1-1:2011 Behavioural languages VHDL Language reference manual
    IEC TS 62933-5-1:2017 Electrical energy storage (EES) systems - Part 5-1: Safety considerations for grid-integrated EES systems - General specification
    16/30351274 DC : 0 BS EN 62933-5-1 - ELECTRICAL ENERGY STORAGE (ESS) SYSTEMS - PART 5-1: SAFETY CONSIDERATIONS RELATED TO GRID INTEGRATED ELECTRICAL ENERGY STORAGE (EES) SYSTEMS
    IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual

    Standards Referencing This Book - (Show below) - (Hide below)

    IEEE 1800-2012 IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Language
    IEEE 1076.6-2004 IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis
    IEEE 1364-2005 IEEE Standard for Verilog Hardware Description Language
    IEC 62142:2005 Verilog (R) register transfer level synthesis
    IEEE 1076-2008 REDLINE IEEE Standard VHDL Language Reference Manual
    • Access your standards online with a subscription

      Features

      • Simple online access to standards, technical information and regulations
      • Critical updates of standards and customisable alerts and notifications
      • Multi - user online standards collection: secure, flexibile and cost effective